增强秒表 basys-3 实现_增强型秒表-程序员宅基地

技术标签: fpga开发  

在这里插入图片描述


module CLK_to_clk
#(parameter T1MS = 7500000) 
(
    input CLK,rst,
    output clk
);
    reg [32:0]count;
    always @(posedge CLK,posedge rst)
    begin
        if(rst)
            count<=0;
        else 
        begin 
            if(count < T1MS)
                count<= count+1 ;
            else count <= 0;
        end
    end
    assign clk = (count==T1MS)?1:0;
endmodule

module stopwatch(
    input CLK,rst,
    input go,up, // up信号 =1 则 倒计时
    output reg [3:0]M,D,
    output reg [5:0]SS
    );
    wire clk;
    CLK_to_clk C(CLK,rst,clk);
    reg [3:0]M_next,D_next;
    reg [5:0]SS_next;
always@(posedge CLK,posedge rst)
    begin
        if(rst)
            begin
                M <= 4'b0;
                D <= 4'b0;
                SS <= 6'b0;
            end
        else 
           if(go == 1)
           begin 
             M <= M_next;
             D <= D_next;
             SS <= SS_next;
           end
end



always @(posedge clk,posedge rst)
begin
    if(rst)
        begin
            D_next <= 0;
            SS_next <=0;
            M_next <=0;
        end
    else begin
        if(up==1)
            begin 
                if(D != 9)
                    D_next = D + 1; 
                else 
                    begin
                    D_next = 4'd0;
                    if(SS != 59)
                        SS_next = SS + 1;
                    else
                        begin
                        SS_next = 6'd0;
                        if(M != 9)
                            M_next = M +1;
                        else 
                            M_next = 0;
                        end
                    end
            end
        else
        begin
            if(D != 0)
                D_next = D - 1; 
            else 
            begin
                D_next = 4'd9;
                if(SS != 0)
                    SS_next = SS - 1;
                else
                    begin
                    SS_next = 6'd59;
                    if(M != 0)
                        M_next = M - 1;
                    else 
                        M_next = 4'd9;
                    end
            end
        end
    end
end

endmodule

module display_stopwatch(
    input CLK,rst,
    input [3:0]D,M,
    input [5:0]SS,
    output reg [10:0]display_out,
    output reg point
);
reg [19:0]count=0; 
reg [2:0] sel=0; 
parameter T2MS=1000; 
wire [3:0] digit_h[59:0];
wire [3:0] digit_l[59:0];
wire [6:0] seg_show[9:0];
generate
    genvar i;
    for(i=0;i<60;i=i+1)
    begin: init_SS
        assign digit_l[i] = (i%10) ;//秒的个位
        assign digit_h[i] = (i/10) ;//秒的十位
    end
endgenerate

assign seg_show[0] = 7'b0000001;
assign seg_show[1] = 7'b1001111;
assign seg_show[2] = 7'b0010010;
assign seg_show[3] = 7'b0000110;  
assign seg_show[4] = 7'b1001100;
assign seg_show[5] = 7'b0100100;
assign seg_show[6] = 7'b0100000;
assign seg_show[7] = 7'b0001111;
assign seg_show[8] = 7'b0000000;
assign seg_show[9] = 7'b0000100;


always@(posedge CLK,posedge rst) 
    if(rst)
        display_out <= 11'b0;
    else 
    begin   
         case(sel) 
         0:begin display_out<={
    4'b0111 , seg_show[M]};  point <= 0;end  // minute
         1:begin display_out<={
    4'b1011 , seg_show[ digit_h[SS] ]}; point <=1 ;end  // Second_high
         2:begin display_out<={
    4'b1101 , seg_show[ digit_l[SS] ]}; point<=0;end // second_low
         3:begin display_out<={
    4'b1110 , seg_show[D]};point<=0; end  // Dsec
         default:display_out<=11'b1111_1111111; 
         endcase 
    end 
    
always@(posedge CLK) 
 begin 
     count<=count+1; 
     if(count==T2MS) 
     begin 
         count<=0; 
         sel<=sel+1; 
         if(sel==3) 
         sel<=0; 
     end 
end

endmodule

module top_stopwatch(
    input CLK,rst,
    input go, up,
    output [10:0]display_out,
    output point
);
    wire [3:0] M,D;
    wire [5:0] SS; 
    stopwatch stp(
        .CLK(CLK),
        .rst(rst),
        .go(go),
        .up(up),
        .M(M),
        .SS(SS),
        .D(D)
    );
    display_stopwatch dis_stp (
        .CLK(CLK),
        .rst(rst),
        .D(D),
        .SS(SS),
        .M(M),
        .display_out(display_out),
        .point(point)
    );
endmodule

约束文件

set_property PACKAGE_PIN W5 [get_ports CLK] 
create_clock -add -name sys_clk_pin -period 10.00 -waveform {
    0 5} [get_ports CLK]
set_property PACKAGE_PIN V17 [get_ports go] 
set_property PACKAGE_PIN V16 [get_ports up] 
set_property PACKAGE_PIN T18 [get_ports rst] 
set_property PACKAGE_PIN V7 [get_ports point] 
set_property IOSTANDARD LVCMOS33 [get_ports point]
set_property IOSTANDARD LVCMOS33 [get_ports go]
set_property IOSTANDARD LVCMOS33 [get_ports up]  
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports CLK] 
set_property PACKAGE_PIN W4 [get_ports {
    display_out[10]}] 
set_property PACKAGE_PIN V4 [get_ports {
    display_out[9]}] 
set_property PACKAGE_PIN U4 [get_ports {
    display_out[8]}] 
set_property PACKAGE_PIN U2 [get_ports {
    display_out[7]}] 
set_property PACKAGE_PIN W7 [get_ports {
    display_out[6]}] 
set_property PACKAGE_PIN W6 [get_ports {
    display_out[5]}] 
set_property PACKAGE_PIN U8 [get_ports {
    display_out[4]}] 
set_property PACKAGE_PIN V8 [get_ports {
    display_out[3]}] 
set_property PACKAGE_PIN U5 [get_ports {
    display_out[2]}] 
set_property PACKAGE_PIN V5 [get_ports {
    display_out[1]}] 
set_property PACKAGE_PIN U7 [get_ports {
    display_out[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[9]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[8]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[7]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[6]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[5]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[4]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[3]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[1]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[2]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[10]}]

这个写法不太规范,生成的clk不应该当作时钟用。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_52957100/article/details/130007382

智能推荐

while循环&CPU占用率高问题深入分析与解决方案_main函数使用while(1)循环cpu占用99-程序员宅基地

文章浏览阅读3.8k次,点赞9次,收藏28次。直接上一个工作中碰到的问题,另外一个系统开启多线程调用我这边的接口,然后我这边会开启多线程批量查询第三方接口并且返回给调用方。使用的是两三年前别人遗留下来的方法,放到线上后发现确实是可以正常取到结果,但是一旦调用,CPU占用就直接100%(部署环境是win server服务器)。因此查看了下相关的老代码并使用JProfiler查看发现是在某个while循环的时候有问题。具体项目代码就不贴了,类似于下面这段代码。​​​​​​while(flag) {//your code;}这里的flag._main函数使用while(1)循环cpu占用99

【无标题】jetbrains idea shift f6不生效_idea shift +f6快捷键不生效-程序员宅基地

文章浏览阅读347次。idea shift f6 快捷键无效_idea shift +f6快捷键不生效

node.js学习笔记之Node中的核心模块_node模块中有很多核心模块,以下不属于核心模块,使用时需下载的是-程序员宅基地

文章浏览阅读135次。Ecmacript 中没有DOM 和 BOM核心模块Node为JavaScript提供了很多服务器级别,这些API绝大多数都被包装到了一个具名和核心模块中了,例如文件操作的 fs 核心模块 ,http服务构建的http 模块 path 路径操作模块 os 操作系统信息模块// 用来获取机器信息的var os = require('os')// 用来操作路径的var path = require('path')// 获取当前机器的 CPU 信息console.log(os.cpus._node模块中有很多核心模块,以下不属于核心模块,使用时需下载的是

数学建模【SPSS 下载-安装、方差分析与回归分析的SPSS实现(软件概述、方差分析、回归分析)】_化工数学模型数据回归软件-程序员宅基地

文章浏览阅读10w+次,点赞435次,收藏3.4k次。SPSS 22 下载安装过程7.6 方差分析与回归分析的SPSS实现7.6.1 SPSS软件概述1 SPSS版本与安装2 SPSS界面3 SPSS特点4 SPSS数据7.6.2 SPSS与方差分析1 单因素方差分析2 双因素方差分析7.6.3 SPSS与回归分析SPSS回归分析过程牙膏价格问题的回归分析_化工数学模型数据回归软件

利用hutool实现邮件发送功能_hutool发送邮件-程序员宅基地

文章浏览阅读7.5k次。如何利用hutool工具包实现邮件发送功能呢?1、首先引入hutool依赖<dependency> <groupId>cn.hutool</groupId> <artifactId>hutool-all</artifactId> <version>5.7.19</version></dependency>2、编写邮件发送工具类package com.pc.c..._hutool发送邮件

docker安装elasticsearch,elasticsearch-head,kibana,ik分词器_docker安装kibana连接elasticsearch并且elasticsearch有密码-程序员宅基地

文章浏览阅读867次,点赞2次,收藏2次。docker安装elasticsearch,elasticsearch-head,kibana,ik分词器安装方式基本有两种,一种是pull的方式,一种是Dockerfile的方式,由于pull的方式pull下来后还需配置许多东西且不便于复用,个人比较喜欢使用Dockerfile的方式所有docker支持的镜像基本都在https://hub.docker.com/docker的官网上能找到合..._docker安装kibana连接elasticsearch并且elasticsearch有密码

随便推点

Python 攻克移动开发失败!_beeware-程序员宅基地

文章浏览阅读1.3w次,点赞57次,收藏92次。整理 | 郑丽媛出品 | CSDN(ID:CSDNnews)近年来,随着机器学习的兴起,有一门编程语言逐渐变得火热——Python。得益于其针对机器学习提供了大量开源框架和第三方模块,内置..._beeware

Swift4.0_Timer 的基本使用_swift timer 暂停-程序员宅基地

文章浏览阅读7.9k次。//// ViewController.swift// Day_10_Timer//// Created by dongqiangfei on 2018/10/15.// Copyright 2018年 飞飞. All rights reserved.//import UIKitclass ViewController: UIViewController { ..._swift timer 暂停

元素三大等待-程序员宅基地

文章浏览阅读986次,点赞2次,收藏2次。1.硬性等待让当前线程暂停执行,应用场景:代码执行速度太快了,但是UI元素没有立马加载出来,造成两者不同步,这时候就可以让代码等待一下,再去执行找元素的动作线程休眠,强制等待 Thread.sleep(long mills)package com.example.demo;import org.junit.jupiter.api.Test;import org.openqa.selenium.By;import org.openqa.selenium.firefox.Firefox.._元素三大等待

Java软件工程师职位分析_java岗位分析-程序员宅基地

文章浏览阅读3k次,点赞4次,收藏14次。Java软件工程师职位分析_java岗位分析

Java:Unreachable code的解决方法_java unreachable code-程序员宅基地

文章浏览阅读2k次。Java:Unreachable code的解决方法_java unreachable code

标签data-*自定义属性值和根据data属性值查找对应标签_如何根据data-*属性获取对应的标签对象-程序员宅基地

文章浏览阅读1w次。1、html中设置标签data-*的值 标题 11111 222222、点击获取当前标签的data-url的值$('dd').on('click', function() { var urlVal = $(this).data('ur_如何根据data-*属性获取对应的标签对象

推荐文章

热门文章

相关标签