HDMI设计8--Video In to AXI4-Stream and AXI4-Stream to Video Out_videotoaxi-程序员宅基地

技术标签: fpga开发  图像处理  hdmi  HDMI设计  

Reference1:https://china.xilinx.com/content/dam/xilinx/support/documentation/ip_documentation/v_vid_in_axi4s/v5_0/pg043_v_vid_in_axi4s.pdf

Reference2:https://china.xilinx.com/content/dam/xilinx/support/documentation/ip_documentation/v_axi4s_vid_out/v4_0/pg044_v_axis_vid_out.pdf

1, Video In to AXI4-Stream

1, 序

        Video In to AXI4-Stream IP有两个功能,第一个功能是实现接口协议的转换,将Native video(具有hsync, vsync, hblank, vblank)的视频传输接口协议转换为AXI4-Stream的传输接口协议(只传输active video)。第二个功能是Timing detector的功能,因为在AXI4-Stream传输的视频信息中,并没有包含视频的Timing信息,因此Video In to AXI4-Stream IP将会从Timing输出接口,产生传输视频流相应的控制时序信息(DE,HSYNC,VSYNC,HBLANK,VBLANK)。

1.1 支持的功能

(1) Native video接口格式的视频输入(具有HSYNC, VSYNC, HBLANK, VBLANK)

(2) AXI4-Stream接口格式的视频输出

(3) Video timing detection

(4) Native video接口和AXI4-Stream接口间可以使用同步时钟域也可以是异步时钟域

(5) IP中FIFO的深度从32-8192

(6) 输入的数据位宽为8-256 bits

(7) 支持隔行扫描(Interlaced)视频格式

(8) 单像素位宽支持8,10,12,16 bits

1.2 基本结构

 图 1

如图1,Video Input输入的时序信号(timing signals)有以下三种:

(1)Vsync, Hsync, and Data Valid

(2)Vblank, Hblank, and Data Valid

(3)Vsync, Hsync, Vblank, Hblank, and Data Valid

        如图1所示结构中,Video In AXI4-Stream out IP的AXI4-Stream Master接口信号中,tdata信号从Async FIFO中获取,tvalid信号根据Async FIFO产生,而tlast和tuser信号由Native Video中的时序信号(timing signals)产生。tlast信号标识每一帧图像中的一行像素数据中的最后一个有效像素数据,也被称为EOL(end of line)信号。tuser信号标识每一帧图像的第一个有效像素数据,也被称为SOF(start of frame)信号。在AXI4-Stream Master中传输的是一帧图像数据中的active pixels,因此,如果是采用Async mode,AXI4-Stream中的时钟频率可以比Native Video中的时钟频率低。

        从图1的结构图,我们可以知道Video In AXI4-Stream out IP主要有两部分功能电路组成,第一部分实现Native Video到AXI4-Stream转换的功能电路组成,第二部分称为Video Timing Controller(Detector),用来将Native Video中的Timing Signals时序对齐后输出。

注意:

(1)这里Xilinx推荐将Video Timing Controller(Detector)的”locked”信号接入到Video In to AXI4-Stream的axis_enable信号,以便能禁止AXI4-Stream bus的Video数据接受,当输入的Video数据状态是丢失(missing)或者不稳定(unstable)。

(2)当video clock没有接入时。需要复位(reset) VTC和Video In to AXI4-Stream,因为如果这些core没有被复位(reset), 那么locked信号会一直拉高,它将造成不完整的帧数据被下游处理单元接受。因此,官方强烈建议当video clock不是有效使用时,复位(reset) VTC和Video In to AXI4-Stream cores.

2.产品规格说明(Product Specification)

2.1 性能(Performance)

2.1.1 最大时钟频率(Maximum Frequencies)

        对于不同的target devices, 该core能跑的最大时钟频率是不同的,同时也受tool options, additional logic in the FPGA device等的影响。

2.1.2 延迟(Latency)

        Video in- AXI4-Stream out IP中,从Native video输入的pixel数据到AXI4-Stream输出有效的延迟一般为6个vid_io_in_clk的时钟周期加上3个aclk时钟周期。

2.1.3 吞吐量(Throughput)

        AXI4-Stream inerface的active pixels平均数据传输率和Video Bus中的active pixels平均数据传输率相匹配。但是,输入接口和输出接口的时钟不用匹配。因为,AXI4-Stream没有传输blank pixels, 所以AXI4-Stream接口的时钟频率可以小于Native video接口的时钟频率,也可以达到两端接口的带宽平衡。输入的视频时钟(input video pixel clock (Fvclk))和输出的视频时钟(AXI4-Stream Clock(Faclk))是不能大于整体的Fmax。根据Fvclk和Faclk之间的大小关系,有以下两种情况:

(1)Faclk大于或者等于Fvclk时,这时只需要最小的buffer size(32 locations)就能满足要求。此时,取数据的速度是大于等于写数据的速度,因此不会有数据丢失的问题存在。

(2)Faclk小于Fvclk时,这时就需要额外的buffer了。core中的Async FIFO需要足够大才能保证,数据写入端和数据读出端在交互时,不会出现数据丢失(FIFO装不下了)的情况发生。这时,FIFO的最小深度可以用下面的公式进行计算:

         注意到FIFO的深度是以32为基数增加的,实际只要稍微大于Active Pixels*(Fvclk/Faclk)即可,这里的32,我理解是规定了最小。

2.2 接口(Core Interfaces)

 图 2

        如图2所示,Video In to AXI4-Stream Core的接口主要由8部分组成。

(1)Video Inputs: Native video输入接口

(2)Video Inputs接口的时钟,复位,控制信号等.

(3)AXI4-Stream Master:AXI4-Stream Video输出接口

(4)AXI4-Stream Master的时钟,复位,控制信号等

(5)axis_enable:AXI4-Stream Master的数据输出使能信号

(6)fid: 用于隔行扫描(Interlaced)的控制信号,标识奇偶帧

(7)Video Timing Outputs:Detector的时序输出信号

(8)overflow和underflow:上溢与下溢标识信号

        注意: 在AXI4-Stream Master Interface中tlast用于标识一行的最后一个有效像素数据,tuser用于标识一帧的第一个有效像素数据。

2.2.1 Video Data

        这里需要留意在AXI4-Stream接口中的TDATA的宽度大小为8 bits的整数倍。因此,如果视频数据的宽度不是8的整数倍,那么数据位宽必须被在MSB填充0直到数据位宽的大小是N*8 bit.图2-2和图2-3是1个像素和3个像素打包后在数据总线的传输。

        该core也支持输入和输出数据的component width的转换,包括8,10,12,16 bit。如图2-4所示,为12bit转成8bit,对于大范围域转成小范围域,采用高位阶段,低位丢的方式。图2-5所示,为8 bit转成12bit, 对于小范围域转成大范围域,采用填充高位数据,将低位数据补零的方式实现。

 (1)SOF - m_axis_video_tuser

        SOF信号标识一帧的第一个有效像素数据。该信号可以在第一个像素数据被放在tdata上的前随机时钟个数有效,只要tvalid没有拉高。

(2)EOL - m_axis_video_tlast

        EOL信号标识一行数据的最后一个有效像素数据。

2, AXI4-Stream to Video Out

1, 序

        AXI4-Stream to Video Out IP使用来实现将AXI4-Stream接口的视频数据格式转换为Native video(parallel video data, video syncs, and blanks)接口格式的视频数据。因为,AXI4-Stream接口传输的视频数据中没有时序(Timing)信息,因此在使用该IP时需要搭配Video Timing Controller (VTC) --产生Timing信息来使用。

1.1 支持的功能

(1)Native video(parallel video data, video syncs, blanks or both)接口输出

(2)AXI4-Stream接口数据输入

(3)可选择的时序同步模式Master Mode(free-run)或者Slave Mode(controlled)

(4)自动同步Video timing和AXI4-Stream Video

(5)AXI4-Stream和Native video的clock domains可以是common或者independent

(6)FIFO深度支持32-8192

(7)输入数据位宽范围是8-256 bits

(8)支持隔行扫描(Interlaced)

(9)像素位宽支持8,10,12,16 bits

1.2 基本结构

        这里定义输入的video的信号有:Parallel video data,Pixel clock,Vsync,Hsync,Vblank,Hblank,Data valid,Field ID

        如图所示,AXI4-Stream to Video out IP根据数据流可以分成2个功能部分。第一部分是,active video数据传输的数据路径电路,第2部分是,提供时序(Timing)信息的Video Timing Controller(Generator)功能电路。

        从图可知,AXI4-Stream to Video out模块将来自AXI4-Stream接口的active video数据和来自Video Timing Controller的时序(Timing)信息同步成对应的Native video数据输出。对于AXI4-Stream to Video out模块相比Video in to AXI4-Stream需要额外注意的是,多了Timing mode的支持。

(1)Slave timing mode

        Slave timing mode能够通过VTC发生器时钟使能信号来控制AXI4-Stream输入数据和VTC的Timing数据之间的相位差,以实现低延时的应用。

(2)Master timing mode

        在Master timing mode,VTC独自运行,AXI4-Stream输入数据和VTC的Timing数据之间的相位差取决于启动条件。

        注意:通常情况下,建议使用Slave timing mode去最小化缓冲(buffering)和延迟(latency)。

2,产品规格说明(Product Specification)

2.1 性能(Performance)

        和Video in to AXI4-Stream IP相同。

2.2 最大时钟频率(Maximum Frequencies)

        和Video in to AXI4-Stream IP相同。

2.3 延迟(Latency)

        对于AXI4-Stream to Video Out IP,其输入到输出的延迟分为Slave Timing Mode和Master Timing Mode.

(1)Slave Timing Mode

        输入到输出的延迟为9个vid_io_out_clk时钟周期加上3个aclk时钟周期。

(2)Master Timing Mode

        在该模式下,延迟通常是与FIFO大小有关的函数。如果提供给AXI4-Stream和output video rate相同或者更高。那么延迟大小大概为FIFO Size - 10 video clocks的大小。如果该core的上游数据传输率处于低传输速率(IP的输入数据速率小于输出数据速率),那么空的FIFO减小了输入数据到输出数据的延迟。

2.4 吞吐量(Throughput)

和Video in to AXI4-Stream IP相同。

2.5 接口描述(Interfaces)

         如图所示,其接口和Video in to AXI4-Stream输入和输出刚好相反。功能都是相同的,差别是对出了vtg_ce和status信号。

(1)vtg_ce: VTC clock enable信号。

(2)Status:status flag用于监控同步器的状态机的转换和VTG lag.

        注意: AXI4-Stream to Video out的tdata相关操作和Video in to AXI4-Stream相同。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/Archar_Saber/article/details/123570753

智能推荐

解决win10/win8/8.1 64位操作系统MT65xx preloader线刷驱动无法安装_mt65驱动-程序员宅基地

文章浏览阅读1.3w次。转载自 http://www.miui.com/thread-2003672-1-1.html 当手机在刷错包或者误修改删除系统文件后会出现无法开机或者是移动定制(联通合约机)版想刷标准版,这时就会用到线刷,首先就是安装线刷驱动。 在XP和win7上线刷是比较方便的,用那个驱动自动安装版,直接就可以安装好,完成线刷。不过现在也有好多机友换成了win8/8.1系统,再使用这个_mt65驱动

SonarQube简介及客户端集成_sonar的客户端区别-程序员宅基地

文章浏览阅读1k次。SonarQube是一个代码质量管理平台,可以扫描监测代码并给出质量评价及修改建议,通过插件机制支持25+中开发语言,可以很容易与gradle\maven\jenkins等工具进行集成,是非常流行的代码质量管控平台。通CheckStyle、findbugs等工具定位不同,SonarQube定位于平台,有完善的管理机制及强大的管理页面,并通过插件支持checkstyle及findbugs等既有的流..._sonar的客户端区别

元学习系列(六):神经图灵机详细分析_神经图灵机方法改进-程序员宅基地

文章浏览阅读3.4k次,点赞2次,收藏27次。神经图灵机是LSTM、GRU的改进版本,本质上依然包含一个外部记忆结构、可对记忆进行读写操作,主要针对读写操作进行了改进,或者说提出了一种新的读写操作思路。神经图灵机之所以叫这个名字是因为它通过深度学习模型模拟了图灵机,但是我觉得如果先去介绍图灵机的概念,就会搞得很混乱,所以这里主要从神经图灵机改进了LSTM的哪些方面入手进行讲解,同时,由于模型的结构比较复杂,为了让思路更清晰,这次也会分开几..._神经图灵机方法改进

【机器学习】机器学习模型迭代方法(Python)-程序员宅基地

文章浏览阅读2.8k次。一、模型迭代方法机器学习模型在实际应用的场景,通常要根据新增的数据下进行模型的迭代,常见的模型迭代方法有以下几种:1、全量数据重新训练一个模型,直接合并历史训练数据与新增的数据,模型直接离线学习全量数据,学习得到一个全新的模型。优缺点:这也是实际最为常见的模型迭代方式,通常模型效果也是最好的,但这样模型迭代比较耗时,资源耗费比较多,实时性较差,特别是在大数据场景更为困难;2、模型融合的方法,将旧模..._模型迭代

base64图片打成Zip包上传,以及服务端解压的简单实现_base64可以装换zip吗-程序员宅基地

文章浏览阅读2.3k次。1、前言上传图片一般采用异步上传的方式,但是异步上传带来不好的地方,就如果图片有改变或者删除,图片服务器端就会造成浪费。所以有时候就会和参数同步提交。笔者喜欢base64图片一起上传,但是图片过多时就会出现数据丢失等异常。因为tomcat的post请求默认是2M的长度限制。2、解决办法有两种:① 修改tomcat的servel.xml的配置文件,设置 maxPostSize=..._base64可以装换zip吗

Opencv自然场景文本识别系统(源码&教程)_opencv自然场景实时识别文字-程序员宅基地

文章浏览阅读1k次,点赞17次,收藏22次。Opencv自然场景文本识别系统(源码&教程)_opencv自然场景实时识别文字

随便推点

ESXi 快速复制虚拟机脚本_exsi6.7快速克隆centos-程序员宅基地

文章浏览阅读1.3k次。拷贝虚拟机文件时间比较长,因为虚拟机 flat 文件很大,所以要等。脚本完成后,以复制虚拟机文件夹。将以下脚本内容写入文件。_exsi6.7快速克隆centos

好友推荐—基于关系的java和spark代码实现_本关任务:使用 spark core 知识完成 " 好友推荐 " 的程序。-程序员宅基地

文章浏览阅读2k次。本文主要实现基于二度好友的推荐。数学公式参考于:http://blog.csdn.net/qq_14950717/article/details/52197565测试数据为自己随手画的关系图把图片整理成文本信息如下:a b c d e f yb c a f gc a b dd c a e h q re f h d af e a b gg h f bh e g i di j m n ..._本关任务:使用 spark core 知识完成 " 好友推荐 " 的程序。

南京大学-高级程序设计复习总结_南京大学高级程序设计-程序员宅基地

文章浏览阅读367次。南京大学高级程序设计期末复习总结,c++面向对象编程_南京大学高级程序设计

4.朴素贝叶斯分类器实现-matlab_朴素贝叶斯 matlab训练和测试输出-程序员宅基地

文章浏览阅读3.1k次,点赞2次,收藏12次。实现朴素贝叶斯分类器,并且根据李航《统计机器学习》第四章提供的数据训练与测试,结果与书中一致分别实现了朴素贝叶斯以及带有laplace平滑的朴素贝叶斯%书中例题实现朴素贝叶斯%特征1的取值集合A1=[1;2;3];%特征2的取值集合A2=[4;5;6];%S M LAValues={A1;A2};%Y的取值集合YValue=[-1;1];%数据集和T=[ 1,4,-1;..._朴素贝叶斯 matlab训练和测试输出

Markdown 文本换行_markdowntext 换行-程序员宅基地

文章浏览阅读1.6k次。Markdown 文本换行_markdowntext 换行

错误:0xC0000022 在运行 Microsoft Windows 非核心版本的计算机上,运行”slui.exe 0x2a 0xC0000022″以显示错误文本_错误: 0xc0000022 在运行 microsoft windows 非核心版本的计算机上,运行-程序员宅基地

文章浏览阅读6.7w次,点赞2次,收藏37次。win10 2016长期服务版激活错误解决方法:打开“注册表编辑器”;(Windows + R然后输入Regedit)修改SkipRearm的值为1:(在HKEY_LOCAL_MACHINE–》SOFTWARE–》Microsoft–》Windows NT–》CurrentVersion–》SoftwareProtectionPlatform里面,将SkipRearm的值修改为1)重..._错误: 0xc0000022 在运行 microsoft windows 非核心版本的计算机上,运行“slui.ex