FPGA开发软件(vivado + modelsim)环境搭建(附详细安装步骤+软件下载)_vivado下载-程序员宅基地

技术标签: fpga开发  VHDL  fpga  fpga/cpld  FPGA  verilog  

本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。

一、软件安装包下载

1、vivado

vivado版本很多,目前最新的已更新到vivado2022.2,版本越高,安装包越大,目前vivado2022.2已达89.4GB大小。

注:官网下载很慢,不稳定,可以选网盘下载

官网下载:下载

网盘下载:

vivado 2017.4:


https://pan.baidu.com/s/192qKequAoHLnk8fHWSmC3A 提取码:24iu

https://pan.quark.cn/s/4772dca40698

vivado2018


https://pan.baidu.com/s/1e69TFTj--aKQHHJFGEQ78g 提取码:9wen

https://pan.quark.cn/s/8dfc8d98c736

vivado 2019.1:


https://pan.baidu.com/s/1eATN-tRC-rKfoBmxBe8RjQ 提取码:plr3

https://pan.quark.cn/s/01996b0b2e69

更多版本,请关注“FPGA入门到精通”

Vivado全版本下载分享

2、Modelsim

Modelsim 有几种常见的版本: SE(System Edition)、 PE(Personal Edition)和 OEM(Orignal EquipmentManufactuce,即原始设备制造商),其中 SE 是最高级的版本,而集成在 Altera、 Xilinx 以及 Lattice 等 FPGA厂商设计工具中的均是 OEM 版本。

网盘下载:


https://pan.quark.cn/s/0c07d6edb341

二、安装步骤

1、vivado安装

(1)点击安装包文件夹里的“xsetup”

db80fb3faa689a0906e7ae361f770b80.png

 

 

(2)弹出下面的提示,选择“continue”

d325bddc53289be1458ee82747e66022.png

 

(3)点击“next”即可

8dfe9ad24f3df996f0ea7a402c0a4b49.png

 

 

6118d7466576f28f5998dcde208b7685.png

 

 

(4)选择安装的芯片型号,对于不需要的芯片型号,可以不选,降低安装空间占用

43425408de26343900395ac69568e346.png

 

 

(5)选中“I agree”,点击“next”

2db52b4ea819289daa41e456f4bf421c.png

 

 

(6)选择“安装目录”,点击“next”

6b0a119196223541a2180fc9a5b2d8df.png

 

 

(7)等待安装完毕,即可。

(8)license加载,打开“Manage xilinx license s”,点击“Load license”,点击“Copy License”,选择加载license文件即可

58c1911903de790d563145bdaa1630d1.png

 

 

2、modelsim安装

(1)点击“modesim.exe”文件

f41ff6f141cc1fabf1df9ade50cf2302.png

 

 

(2)一直点击下一步安装即可

3、vivado中配置modesim仿真设置

(1)在Vivado中生成lib

打开Vivado软件,点击Tools-》Compile Simulation Libraries,生成库函数

a47b7f0dd8454430b4af6cd6a3a7ba3b.png

生成库函数设置

Simulator选择ModelSim Simulator

Language,Library,Family选择全部(All)

Compiled library location:编译库目录,可以选择在ModelSim的安装路径下,新建一个Vivado_lib的文件夹

Simulator executable path:选择ModelSim的启动路径

d9a13514ca0a4b5384aa3e71231952c0.png

点击Compile,即可开始生成库文件,等待编译完毕。

(2)在Vivado中添加ModelSim调用设置

Vivado --> Tools --> setting,分别设置仿真软件和仿真库

fd514be7af8d46deac07ca716171e40a.png

(3)在ModelSim中添加Vivado仿真库

a、在modelsim安装目录下的vivado仿真库文件夹vivado_lib下找到modelsim.ini文件,打开如下图所示的库路径

8f7ce2e25f094d508c6914e6c162cb5b.png

b、在modelsim安装路径下有一个modelsim.ini文件,取消只读属性,然后用记事本将其打开,找到“; AutoLibMapping = 0”处准备添加ip库路径

31f2ba02e18d451d9e818a6fc6b45dd9.png

(4) 打开ModelSim,就会Vivado的lib全部添加到ModelSim中添加进来了。

3d2d52ad7b694c6db3e4f92c7f8cf8bf.png

以上内容是vivado和modelsim的安装说明,希望各位读者能有所收获。


本文将不断定期更新中,点赞,收藏一下,不走丢哦

本文由FPGA入门到精通原创,有任何问题,都可以在评论区和我交流哦

公众号为“FPGA入门到精通”学习资料分享,github开源代码:“FPGA知识库

QQ交流群(免费资料、学习交流):709882545

你的支持是我持续创作的最大动力!如果本文对你有帮助,请给一个鼓励,谢谢。

 

 

 

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/mengzaishenqiu/article/details/129000700

智能推荐

Houdini常用SOP节点_houdini cube划分-程序员宅基地

文章浏览阅读1.6k次,点赞5次,收藏38次。Houdini常用SOP节点_houdini cube划分

.netcore 微服务快速开发框架 Anno&Viper_anno框架-程序员宅基地

文章浏览阅读842次。1、什么是锁锁是为了解决多线程或者多进程资源竞争的问题。同一进程的多个线程资源竞争可以用lock解决。lock 关键字可确保当一个线程位于代码的临界区时,另一个线程不会进入该临界区。 如果其他线程尝试进入锁定的代码,则它将一直等待(即被阻止),直到该对象被释放。复制代码class Test{//定义一个私有成员变量,用于Lockprivate static object lockobj = new object();void DoSomething(){lock (lockobj)_anno框架

电脑风云人物_北大方正赵威-程序员宅基地

文章浏览阅读2.2k次。杜家滨 杜家滨,1994年3月出任美国微软公司北京代表处总经理,现任微软(中国)有限公司总裁。 在加入微软公司之前,杜家滨曾服务于台湾工业技术研究院和美国惠普公司,先后担任工程师、研究开发经理、项目主持人、销售经理、副总经理等有关研究开发和业务方面的工作。赵威 赵威,1966年4月生于辽宁沈阳。高级工程师,北大方正集团公司副总裁、党总支书记,香港方正有限公司执行董事,北大方正电子有限公司董事、_北大方正赵威

IDEA自定义配置链接nacos_idea配置nacos-程序员宅基地

文章浏览阅读2.6k次。IDEA自定义配置链接nacos_idea配置nacos

巴伦变压器电路图_基于变压器原理的巴伦电路系统分析-程序员宅基地

文章浏览阅读659次。基于变压器原理的巴伦电路系统分析孙利国;陈迎朝;孙昆强;吴文涛;杨署辉【期刊名称】《北京信息科技大学学报(自然科学版)》【年(卷),期】2011(026)002【摘要】针对基于变压器原理的集成巴伦电路进行了系统分析,讨论了理想变压器的特性,给出了一个实际巴伦的等效电路,推导了相应的标准S参数和混合型S参数,并利用ADS仿真结果验证了本文提出的巴伦模型.【总页数】9页(36-44)【关键词】变压器;..._巴伦转换器有等效电路

HIVE整合HBASE_hive和hbase整合-程序员宅基地

文章浏览阅读1.8k次,点赞3次,收藏11次。HIVE整合HBASE_hive和hbase整合

随便推点

判断每个月每一天开始是星期几的c语言,已知某月的第一天事星期三,编写程序实现输出当月中的一个日期号,输出是星期几...-程序员宅基地

文章浏览阅读2.1k次。满意答案ddqap2014.10.24采纳率:56%等级:9已帮助:117人//已知某月的第一天事星期三,编写程序实现输出当月中的一个日期号,输出是星期几,使用枚举类型来定义一个星期的每一天//假设2013年12月04日是星期三#include#include enum Week{Sunday = 0, Monday, Tuesday, Wednesday, Thursday, Frida..._已知某月的第一天是星期三,编写程序实现输入当月中的一个日期号,输出是星期几。使用枚举类型来定义一个星期中的每一天。

plantuml 依赖_ubuntu安装PlantUML-程序员宅基地

文章浏览阅读614次。1. 安装graphviz这是PlantUML依赖的图形库,支持所有OS, 可以在此下载http://graphviz.org/Download..phpubuntu平台可以直接到这里:https://launchpad.net/~gviz-adm/+archive/ubuntu/graphviz-dev先修改/etc/apt/sources.list加入 (我的是12.04对应precise)s..._plantuml ubuntu

gdb vscode 不进入断点_VScode配置MASM32运行环境(断点/运行/debug/配合emu8086(非DOSBox))...-程序员宅基地

文章浏览阅读385次。一、之所以不用DOSBox不利于集成到VScode,执行代码需要新开窗口,配置繁琐。ps:而且加断点和调试复杂。感谢masm-code插件的作者,终于可以补全啦qaq添加关于调试的一些建议二、步骤0.网络状况不好可以用度盘资源://20200403 update1.安装VScode2.安装masm-code, code runner插件3.从masm32 sdk ver11下载所需的masm,如果..._vscode仿真stm32无法打断点

四年级计算机期末质量分析,四年级期末考试质量分析-程序员宅基地

文章浏览阅读147次。考试作为一种实现教育目的的手段,它有存在的合理性。下面是小编整理的四年级期末考试质量分析,欢迎参考!【1】四年级期末考试质量分析一 、试题分析1、总体认识我认为本次考试试题以《21011版历史课程标准》为依据,突出能力立意的原则,体现了新课程基础性、综合性、探究性与开放性的原则。知识点基本上涵盖了七年级历史上册一二单元的主要知识,总感到试题难度适中,是一份不错的考卷。2、题型与分值试题共有三个大题..._四年级计算机能力测试质量分析

linux设备资源分配,基于Linux 简化 AMP 配置使其更方便更动态地分配资源-程序员宅基地

文章浏览阅读266次。描述嵌入式系统一般分为两大类:需要硬实时性能的;和不需要硬实时性能的。过去,我们不得不做出艰难抉择: 选择实时操作系统的性能还是我们钟爱的 Linux 系统的丰富特性,然后努力弥补不足之处?如今,嵌入式开发人员再也不需要在二者之间艰难选择。非对称多处理 (AMP) 兼备二者的优点。几款新型片上系统 (SoC) 产品集成了多个 CPU、多种标准 I/O 外设和可编程逻辑。例如,赛灵思 Zynq-70..._linu移动算力架构资源供给

netty心跳过程中 发送消息失败_Netty面试题系列(1)-阿里云开发者社区-程序员宅基地

文章浏览阅读52次。1.Netty 是什么?Netty 是一款基于 NIO(Nonblocking I/O,非阻塞IO)开发的网络通信框架,对比于 BIO(Blocking I/O,阻塞IO),他的并发性能得到了很大提高。难能可贵的是,在保证快速和易用性的同时,并没有丧失可维护性和性能等优势。2.Netty 的特点是什么?高并发:Netty 是一款基于 NIO(Nonblocking IO,非阻塞IO)开发的网络通信..._socket向netty服务器发送信息失败